`timescale 1ns / 1ps module tb_cpu(); reg clk, reset; always begin clk = 1; #10; clk = 0; #10; end initial begin reset = 1; #45; reset = 0; end cpu c(.clk(clk), .reset(reset) ); endmodule